2021/07/04

verilatorで、pthreadを使う

PROGRAMMING   

pthreadをverilatorで使う方法です。pthreadを使うと言うか、ビルド時にビルドオプションをどう渡すかという話になります。 ...
READ MORE
2021/06/06

verilogで、桁数からbitmask値を作る [make bitmask in verilog]

PROGRAMMING   

桁数が決まっていて、その桁に対するbitmaskを作成するプログラムをverilogで作った。 パラメータの説明だ。 forを使わずに、テー...
READ MORE
2021/06/06

floor(log2)をverilogで実装した[floor log2 in verilog]

PROGRAMMING   

いろいろ疑問はあるのですが。。。。とりあえず、log2のfloorをverilogで実装しました。C言語で記述すると、 これをverilog...
READ MORE
2021/05/30

8×8の画像をDCTする[pixel image processing dct]

XILINX   

FPGAで、DCTの演算を行う。DCTは、画像の圧縮(サイズを小さくする)ために使用されることが多い。例えば、MPEGやAVC、HEVCで使...
READ MORE
2021/05/29

8×8の画像をverilogで処理する[pixel image processing]

XILINX   

FPGAで、8×8の画像処理を実施する。以下のような画像を処理する。 処理の流れは、以下のような感じだ。 デバイスは、Zynqで、...
READ MORE
2021/05/22

Start Verilator

PROGRAMMING   

Verilatorを始めてみた。 以下のサイトを参考にしました。 一番のメリットは、テストがC言語で記載ができることだと思った。もともとVe...
READ MORE
2021/04/24

How to add custom ip in vivado

XILINX   

自作のIPを作る手順をまとめておく。XilinxのVivadoのマニュアルを読めば良いと思うが、マニュアルが多くて、いろいろ読む気しない。。...
READ MORE
2021/04/24

System Verilog in vivado block design

XILINX   

System Verilogで書いたコードを、Vivadoのblock Designに追加しようと思ったら、追加できなかった。 system...
READ MORE
2021/04/22

How to use bram in vivado

XILINX   

ZynqのCPUから、Block Ramを使用したことがあったが、Verilogから、Block Ramを利用したことがなかった気がしたので...
READ MORE
2021/03/25

Two-dimesional array in system verilog and generate multiple instance

PROGRAMMING   

2次元配列を用意して、その配列を引数にして、インスタンスを生成します。 配列を引数に持つ複数生成される回路 複数生成される回路は、配列を入力...
READ MORE
2020/09/25

Implement axi stream master?(2)

XILINX   

https://www.update-gadget.com/blog/2020/09/24/implement-axi-stream-wri...
READ MORE