2021/06/06

verilogで、桁数からbitmask値を作る [make bitmask in verilog]

PROGRAMMING   

桁数が決まっていて、その桁に対するbitmaskを作成するプログラムをverilogで作った。 パラメータの説明だ。 forを使わずに、テー...
READ MORE
2021/06/06

floor(log2)をverilogで実装した[floor log2 in verilog]

PROGRAMMING   

いろいろ疑問はあるのですが。。。。とりあえず、log2のfloorをverilogで実装しました。C言語で記述すると、 これをverilog...
READ MORE